tahoma2d/thirdparty/tiff-4.0.3/test/bmp2tiff_rgb.sh
2016-03-23 04:20:01 +09:00

8 lines
206 B
Bash
Executable file

#!/bin/sh
# Generated file, master is Makefile.am
. ${srcdir:-.}/common.sh
infile="$IMG_RGB_3C_8B_BMP"
outfile="o-bmp2tiff_rgb.tiff"
f_test_convert "$BMP2TIFF" $infile $outfile
f_tiffinfo_validate $outfile