diff --git a/tests/unit/OpenSSL/OpenSSLTest.php b/tests/unit/OpenSSL/OpenSSLTest.php new file mode 100644 index 000000000..8497f6cc1 --- /dev/null +++ b/tests/unit/OpenSSL/OpenSSLTest.php @@ -0,0 +1,35 @@ +assertEquals(OpenSSL::decrypt($data, $method, $key, 0, $iv, $tag), $secret); + } +} \ No newline at end of file